Intel ha lanzado el Intel Foundry como un negocio de fundición de sistemas más sostenible diseñado para la era de la IA y ha anunciado una hoja de ruta de procesos ampliada y diseñada para establecer el liderazgo en la última parte de esta década. La compañía también ha destacado el impulso de los clientes y el apoyo de los socios del ecosistema, incluidos Synopsys, Cadence, Siemens y Ansys, que han manifestado su disposición a acelerar los diseños de chips de los clientes de Intel Foundry con herramientas, flujos de diseño y carteras de IP validadas para las tecnologías de empaquetado avanzado de Intel y el proceso Intel 18A.  

Estos anuncios se han comunicado en el primer evento de fundición de Intel, Intel Foundry Direct Connect, donde la compañía ha reunido a clientes, empresas del ecosistema y líderes de todo el sector. Entre los participantes y ponentes destacan la Secretaria de Comercio de Estados Unidos, Gina Raimondo, el CEO de Arm, René Haas, el CEO de Microsoft, Satya Nadella, y el CEO de OpenAI, Sam Altman, entre otros.

"La IA está transformando profundamente el mundo y la forma en que concebimos la tecnología y el silicio que la impulsa", ha afirmado Pat Gelsinger, CEO de Intel. "Está creando una oportunidad sin precedentes para los diseñadores de chips más innovadores del mundo y para Intel Foundry, la primera fundición de sistemas del mundo para la era de la IA. Juntos, podemos crear nuevos mercados y revolucionar la forma en que el mundo utiliza la tecnología para mejorar la vida de las personas".

La hoja de ruta del proceso se amplía más allá del 5N4Y

La hoja de ruta ampliada de la tecnología de procesos de Intel añade Intel 14A al plan de nodos de vanguardia de la compañía, además de varias evoluciones de nodos especializados. Intel también ha señalado que su ambiciosa hoja de ruta de procesos de cinco nodos en cuatro años (5N4Y) sigue en marcha y "ofrecerá la primera solución de alimentación trasera del sector". Los responsables de la compañía esperan que Intel recupere el liderazgo en procesos con Intel 18A en 2025.

La nueva hoja de ruta incluye evoluciones para las tecnologías de proceso Intel 3, Intel 18A e Intel 14A. Incluye Intel 3-T, que está optimizada con vías a través del silicio para diseños avanzados de embalaje 3D y pronto estará lista para la fabricación. También se incluyen los nodos de proceso maduros, incluidos los nuevos nodos de 12 nanómetros que se esperan gracias al desarrollo conjunto con UMC anunciado el mes pasado. Estas evoluciones están diseñadas para permitir a los clientes desarrollar y suministrar productos adaptados a sus necesidades específicas. Intel Foundry planea un nuevo nodo cada dos años y evoluciones de nodo a lo largo del camino, dando a los clientes un camino para evolucionar continuamente sus ofertas en la tecnología de proceso líder de Intel.

Intel también ha anunciado la incorporación de Intel Foundry FCBGA 2D+ a su completa gama de productos ASAT, que ya incluye FCBGA 2D, EMIB, Foveros y Foveros Direct.

Y ha reiterado hoy las victorias de diseño en todas las generaciones de procesos de fundición, incluidos Intel 18A, Intel 16 e Intel 3, junto con un volumen significativo de clientes en las capacidades ASAT de Intel Foundry, incluido el empaquetado avanzado.  

En 2023, Intel cerró cuatro grandes acuerdos con clientes de 18A, incluido un importante acuerdo de "prepago".

Los proveedores de IP y EDA aseguran estar preparados para los diseños de proceso y empaquetado de Intel

Los socios de propiedad intelectual y automatización del diseño electrónico (EDA) Synopsys, Cadence, Siemens, Ansys, Lorentz y Keysight han dado a conocer la cualificación de las herramientas y la disponibilidad de IP para que los clientes de fundición puedan acelerar los diseños de chips avanzados en Intel 18A, que ofrece la primera solución de alimentación trasera de la industria de fundición. Estas empresas también han confirmado la habilitación de EDA e IP en todas las familias de nodos de Intel.

Al mismo tiempo, varios proveedores anunciaron planes de colaboración en tecnología de ensamblaje y flujos de diseño para la tecnología de empaquetado 2,5D del puente de interconexión multi-die integrado (EMIB) de Intel. Estas soluciones EDA garantizarán un desarrollo y una entrega más rápidos de soluciones de embalaje avanzadas para los clientes de fundición.

Intel también dio a conocer una "Iniciativa Empresarial Emergente" que contempla una colaboración con Arm para proporcionar servicios de fundición de vanguardia para sistemas en chip (SoC) basados en Arm. Esta iniciativa representa una importante oportunidad para que Arm e Intel apoyen a las empresas emergentes en el desarrollo de tecnología basada en Arm y ofrezcan IP esencial, soporte de fabricación y asistencia financiera para fomentar la innovación y el crecimiento.

Stuart Pann, senior vice president of Intel Foundry, ha declarado: "Estamos ofreciendo una fundición de clase mundial, suministrada desde una fuente de suministro resistente, más sostenible y segura, y complementada por unas capacidades de sistemas de chips sin precedentes. La unión de estos puntos fuertes proporciona a los clientes todo lo que necesitan para diseñar y ofrecer soluciones para las aplicaciones más exigentes".

Según la compañía, las cadenas de suministro resistentes también deben ser cada vez más sostenibles e Intel ha compartido hoy su objetivo de convertirse en la fundición más sostenible del sector. En 2023, las estimaciones preliminares indican que Intel utilizará un 99% de electricidad renovable en sus fábricas de todo el mundo. La empresa ha redoblado su compromiso de alcanzar el 100% de electricidad renovable en todo el mundo, agua neta positiva y cero residuos en vertederos para 2030. Intel también ha reforzado su compromiso de lograr cero emisiones netas de GEI de Alcance 1 y Alcance 2 para 2040 y cero emisiones netas de Alcance 3 para 2050.